Početna stranicaASMIY • OTCMKTS
ASM International NV
657,00 $
27. ruj, 20:10:00 GMT -4 · USD · OTCMKTS · Odricanje od odgovornosti
Zemlja u kojoj se trguje vrijednosnicom: SADZemlja u kojoj se nalazi sjedište: NL
Preth. zaklj. cijena
653,50 $
Dnevni raspon
647,14 $ - 657,02 $
Godišnji raspon
375,35 $ - 813,23 $
Tržišna kapitalizacija
32,12 mlr. USD
Prosječna količina
3,68 tis.
CDP-ova klimatska ocjena
A-
Vijesti s tržišta
Financijski podaci
Račun dobiti i gubitka
Prihod
Neto dohodak
(EUR)lip 2024.Godišnja promjena
Prihod
706,11 mil.5,52 %
Operativni troškovi
174,05 mil.14,06 %
Neto dohodak
159,02 mil.5,17 %
Neto profitabilnost
22,52−0,35 %
Zarada po dionici
3,332,82 %
EBITDA
207,40 mil.2,51 %
Efektivna porezna stopa
20,19 %
Ukupna imovina
Ukupne obveze
(EUR)lip 2024.Godišnja promjena
Gotovinska i kratkoročna ulaganja
637,38 mil.29,99 %
Ukupna imovina
4,57 mlr.13,39 %
Ukupne obveze
1,16 mlr.17,81 %
Ukupni kapital
3,41 mlr.
Dionice u optjecaju
49,22 mil.
Cijena prema knjigovodstvenoj vrijednosti
9,43
Povrat imovine
9,73 %
Povrat kapitala
12,94 %
Neto promjena novca
(EUR)lip 2024.Godišnja promjena
Neto dohodak
159,02 mil.5,17 %
Gotovina od poslovanja
195,00 mil.27,97 %
Gotovina iz ulaganja
−92,04 mil.−38,48 %
Gotovina iz financiranja
−196,96 mil.−11,72 %
Neto promjena novca
−74,38 mil.9,56 %
Slobodan tok novca
78,52 mil.50,86 %
Više
ASM is a Dutch headquartered multinational corporation that specializes in the design, manufacturing, sales and service of semiconductor wafer processing equipment for the fabrication of semiconductor devices. ASM's products are used by semiconductor manufacturers in front-end wafer processing in their semiconductor fabrication plants. ASM's technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion. The company was founded by Arthur del Prado as 'Advanced Semiconductor Materials' in 1964. From 2008 until 2020, son of Arthur del Prado, Chuck del Prado was CEO. ASM pioneered important aspects of many established wafer-processing technologies used in industry, including lithography, deposition, ion implantation, single-wafer epitaxy, and in recent years atomic layer deposition. Semiconductor equipment companies ASML, ASM Pacific Technology and Besi are former divisions of ASM. ASM headquarters is located in Almere, the Netherlands. The company has R&D sites in Almere, Helsinki, Leuven, Phoenix, Tama, and Dongtan. Manufacturing primarily occurs in Singapore and Dongtan. Wikipedia
Glavni izvršni direktor
Osnovano
1968
Web-lokacija
Zaposlenici
4.617
Pretraživanje
Izbriši pretraživanje
Zatvaranje pretraživanja
Googleove aplikacije
Glavni izbornik